1 ." Copyright (c) 2005, 2011, Oracle and/or its affiliates. All rights reserved.
   2 ." DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
   3 ."
   4 ." This code is free software; you can redistribute it and/or modify it
   5 ." under the terms of the GNU General Public License version 2 only, as
   6 ." published by the Free Software Foundation.
   7 ."
   8 ." This code is distributed in the hope that it will be useful, but WITHOUT
   9 ." ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
  10 ." FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
  11 ." version 2 for more details (a copy is included in the LICENSE file that
  12 ." accompanied this code).
  13 ."
  14 ." You should have received a copy of the GNU General Public License version
  15 ." 2 along with this work; if not, write to the Free Software Foundation,
  16 ." Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA.
  17 ."
  18 ." Please contact Oracle, 500 Oracle Parkway, Redwood Shores, CA 94065 USA
  19 ." or visit www.oracle.com if you need additional information or have any
  20 ." questions.
  21 ."
  22 .TH wsimport 1 "07 May 2011"
  23 .SH "名前"
  24 wsimport \- XML Web Services (JAX\-WS) 2.0 のための Java(TM) API
  25 .LP
  26 \f3仕様バージョン:\fP 2.1
  27 .br
  28 \f3実装バージョン:\fP 2.1.1
  29 .br
  30 .SH "概要"
  31 .LP
  32 \f2wsimport\fP ツールは、次のような JAX\-WS ポータブルアーティファクトを生成します。
  33 .RS 3
  34 .TP 2
  35 o
  36 サービスエンドポイントインタフェース (SEI) 
  37 .TP 2
  38 o
  39 サービス 
  40 .TP 2
  41 o
  42 wsdl:fault からマップされる例外クラス (存在する場合) 
  43 .TP 2
  44 o
  45 応答 wsdl:message から派生する非同期応答 Bean (存在する場合) 
  46 .TP 2
  47 o
  48 JAX\-B が生成する値タイプ (スキーマの型からマップされた Java クラス) 
  49 .RE
  50 .LP
  51 これらのアーティファクトは、WSDL ドキュメント、スキーマドキュメント、およびエンドポイント実装とともに WAR ファイル内にパッケージ化して配備することができます。また、wsimport Ant タスクも用意されています。
  52 .na
  53 \f2wsimport Ant タスク\fP @
  54 .fi
  55 https://jax\-ws.dev.java.net/nonav/2.1.1/docs/wsimportant.htmlを参照してください。
  56 .br
  57 
  58 .LP
  59 .SH "wsimport の起動"
  60 .RS 3
  61 .TP 2
  62 o
  63 \f3Solaris/Linux\fP 
  64 .RS 3
  65 .TP 2
  66 *
  67 \f2/bin/wsimport.sh \-help\fP 
  68 .RE
  69 .TP 2
  70 o
  71 \f3Windows\fP 
  72 .RS 3
  73 .TP 2
  74 *
  75 \f2\\bin\\wsimport.bat \-help\fP 
  76 .RE
  77 .RE
  78 
  79 .LP
  80 .SH "構文"
  81 .nf
  82 \f3
  83 .fl
  84 wsimport [options] <wsdl>
  85 .fl
  86 \fP
  87 .fi
  88 .LP
  89 次の表に、 \f2wsimport\fP のオプションを示します。
  90 .LP
  91 .TS
  92 .if \n+(b.=1 .nr d. \n(.c-\n(c.-1
  93 .de 35
  94 .ps \n(.s
  95 .vs \n(.vu
  96 .in \n(.iu
  97 .if \n(.u .fi
  98 .if \n(.j .ad
  99 .if \n(.j=0 .na
 100 ..
 101 .nf
 102 .nr #~ 0
 103 .if n .nr #~ 0.6n
 104 .ds #d .d
 105 .if \(ts\n(.z\(ts\(ts .ds #d nl
 106 .fc
 107 .nr 33 \n(.s
 108 .rm 80 81
 109 .nr 34 \n(.lu
 110 .eo
 111 .am 81
 112 .br
 113 .di a+
 114 .35
 115 .ft \n(.f
 116 .ll \n(34u*1u/3u
 117 .if \n(.l<\n(81 .ll \n(81u
 118 .in 0
 119 生成される出力ファイルを格納する場所を指定します
 120 .br
 121 .di
 122 .nr a| \n(dn
 123 .nr a- \n(dl
 124 ..
 125 .ec \
 126 .eo
 127 .am 81
 128 .br
 129 .di b+
 130 .35
 131 .ft \n(.f
 132 .ll \n(34u*1u/3u
 133 .if \n(.l<\n(81 .ll \n(81u
 134 .in 0
 135 外部の JAX\-WS または JAXB バインディングファイルを指定します ( \f2<file>\fP ごとに \f2\-b\fP が必要となる)
 136 .br
 137 .di
 138 .nr b| \n(dn
 139 .nr b- \n(dl
 140 ..
 141 .ec \
 142 .eo
 143 .am 81
 144 .br
 145 .di c+
 146 .35
 147 .ft \n(.f
 148 .ll \n(34u*1u/3u
 149 .if \n(.l<\n(81 .ll \n(81u
 150 .in 0
 151 このオプションを JAXB スキーマコンパイラに渡します
 152 .br
 153 .di
 154 .nr c| \n(dn
 155 .nr c- \n(dl
 156 ..
 157 .ec \
 158 .eo
 159 .am 81
 160 .br
 161 .di d+
 162 .35
 163 .ft \n(.f
 164 .ll \n(34u*1u/3u
 165 .if \n(.l<\n(81 .ll \n(81u
 166 .in 0
 167 外部エンティティー参照を解決するためのカタログファイルを指定します。TR9401、XCatalog、OASIS XML Catalog の各形式がサポートされています。
 168 .na
 169 \f2カタログ\fP @
 170 .fi
 171 https://jax\-ws.dev.java.net/nonav/2.1.1/docs/catalog\-support.htmlのドキュメントをお読みになり、\f3カタログ\fPのサンプルを参照してください。
 172 .br
 173 .di
 174 .nr d| \n(dn
 175 .nr d- \n(dl
 176 ..
 177 .ec \
 178 .eo
 179 .am 81
 180 .br
 181 .di e+
 182 .35
 183 .ft \n(.f
 184 .ll \n(34u*1u/3u
 185 .if \n(.l<\n(81 .ll \n(81u
 186 .in 0
 187 ベンダー拡張 (仕様で規定されていない機能) を許可します。拡張を使用すると、アプリケーションの移植性が失われたり、ほかの実装との相互運用が行えなくなる可能性があります
 188 .br
 189 .di
 190 .nr e| \n(dn
 191 .nr e- \n(dl
 192 ..
 193 .ec \
 194 .eo
 195 .am 81
 196 .br
 197 .di f+
 198 .35
 199 .ft \n(.f
 200 .ll \n(34u*1u/3u
 201 .if \n(.l<\n(81 .ll \n(81u
 202 .in 0
 203 ヘルプを表示します
 204 .br
 205 .di
 206 .nr f| \n(dn
 207 .nr f- \n(dl
 208 ..
 209 .ec \
 210 .eo
 211 .am 80
 212 .br
 213 .di g+
 214 .35
 215 .ft \n(.f
 216 .ll \n(34u*1u/3u
 217 .if \n(.l<\n(80 .ll \n(80u
 218 .in 0
 219 \f3\-httpproxy:<host>:<port> \fP
 220 .br
 221 .di
 222 .nr g| \n(dn
 223 .nr g- \n(dl
 224 ..
 225 .ec \
 226 .eo
 227 .am 81
 228 .br
 229 .di h+
 230 .35
 231 .ft \n(.f
 232 .ll \n(34u*1u/3u
 233 .if \n(.l<\n(81 .ll \n(81u
 234 .in 0
 235 HTTP プロキシサーバーを指定します (デフォルトのポートは 8080)
 236 .br
 237 .di
 238 .nr h| \n(dn
 239 .nr h- \n(dl
 240 ..
 241 .ec \
 242 .eo
 243 .am 81
 244 .br
 245 .di i+
 246 .35
 247 .ft \n(.f
 248 .ll \n(34u*1u/3u
 249 .if \n(.l<\n(81 .ll \n(81u
 250 .in 0
 251 生成されたファイルを保持します
 252 .br
 253 .di
 254 .nr i| \n(dn
 255 .nr i- \n(dl
 256 ..
 257 .ec \
 258 .eo
 259 .am 81
 260 .br
 261 .di j+
 262 .35
 263 .ft \n(.f
 264 .ll \n(34u*1u/3u
 265 .if \n(.l<\n(81 .ll \n(81u
 266 .in 0
 267 このコマンド行オプション経由でターゲットパッケージを指定した場合、その指定内容は、パッケージ名に対するすべての WSDL/スキーマバインディングカスタマイズや、 仕様で規定されているデフォルトのパッケージ名アルゴリズムよりも優先されます
 268 .br
 269 .di
 270 .nr j| \n(dn
 271 .nr j- \n(dl
 272 ..
 273 .ec \
 274 .eo
 275 .am 81
 276 .br
 277 .di k+
 278 .35
 279 .ft \n(.f
 280 .ll \n(34u*1u/3u
 281 .if \n(.l<\n(81 .ll \n(81u
 282 .in 0
 283 生成されるソースファイルを格納する場所を指定する
 284 .br
 285 .di
 286 .nr k| \n(dn
 287 .nr k- \n(dl
 288 ..
 289 .ec \
 290 .eo
 291 .am 81
 292 .br
 293 .di l+
 294 .35
 295 .ft \n(.f
 296 .ll \n(34u*1u/3u
 297 .if \n(.l<\n(81 .ll \n(81u
 298 .in 0
 299 コンパイラが実行している処理に関するメッセージを出力します
 300 .br
 301 .di
 302 .nr l| \n(dn
 303 .nr l- \n(dl
 304 ..
 305 .ec \
 306 .eo
 307 .am 81
 308 .br
 309 .di m+
 310 .35
 311 .ft \n(.f
 312 .ll \n(34u*1u/3u
 313 .if \n(.l<\n(81 .ll \n(81u
 314 .in 0
 315 バージョン情報を出力します
 316 .br
 317 .di
 318 .nr m| \n(dn
 319 .nr m- \n(dl
 320 ..
 321 .ec \
 322 .eo
 323 .am 80
 324 .br
 325 .di n+
 326 .35
 327 .ft \n(.f
 328 .ll \n(34u*1u/3u
 329 .if \n(.l<\n(80 .ll \n(80u
 330 .in 0
 331 \f3\-wsdllocation <location>\fP
 332 .br
 333 .di
 334 .nr n| \n(dn
 335 .nr n- \n(dl
 336 ..
 337 .ec \
 338 .eo
 339 .am 81
 340 .br
 341 .di o+
 342 .35
 343 .ft \n(.f
 344 .ll \n(34u*1u/3u
 345 .if \n(.l<\n(81 .ll \n(81u
 346 .in 0
 347 \f2@WebServiceClient.wsdlLocation\fP 値
 348 .br
 349 .di
 350 .nr o| \n(dn
 351 .nr o- \n(dl
 352 ..
 353 .ec \
 354 .eo
 355 .am 81
 356 .br
 357 .di p+
 358 .35
 359 .ft \n(.f
 360 .ll \n(34u*1u/3u
 361 .if \n(.l<\n(81 .ll \n(81u
 362 .in 0
 363 指定された JAX\-WS 仕様バージョンに従ってコードを生成します。バージョン 2.0 では、JAX\-WS 2.0 仕様に準拠したコードを生成します。
 364 .br
 365 .di
 366 .nr p| \n(dn
 367 .nr p- \n(dl
 368 ..
 369 .ec \
 370 .eo
 371 .am 81
 372 .br
 373 .di q+
 374 .35
 375 .ft \n(.f
 376 .ll \n(34u*1u/3u
 377 .if \n(.l<\n(81 .ll \n(81u
 378 .in 0
 379 wsimport 出力を抑制します
 380 .br
 381 .di
 382 .nr q| \n(dn
 383 .nr q- \n(dl
 384 ..
 385 .ec \
 386 .35
 387 .nf
 388 .ll \n(34u
 389 .nr 80 0
 390 .nr 38 \w\f3オプション\fP
 391 .if \n(80<\n(38 .nr 80 \n(38
 392 .nr 38 \w\f3\-d <directory> \fP
 393 .if \n(80<\n(38 .nr 80 \n(38
 394 .nr 38 \w\f3\-b <path> \fP
 395 .if \n(80<\n(38 .nr 80 \n(38
 396 .nr 38 \w\f3\-B <jaxbOption>\fP
 397 .if \n(80<\n(38 .nr 80 \n(38
 398 .nr 38 \w\f3\-catalog\fP
 399 .if \n(80<\n(38 .nr 80 \n(38
 400 .nr 38 \w\f3\-extension \fP
 401 .if \n(80<\n(38 .nr 80 \n(38
 402 .nr 38 \w\f3\-help \fP
 403 .if \n(80<\n(38 .nr 80 \n(38
 404 .nr 38 \w\f3\-keep \fP
 405 .if \n(80<\n(38 .nr 80 \n(38
 406 .nr 38 \w\f3\-p \fP
 407 .if \n(80<\n(38 .nr 80 \n(38
 408 .nr 38 \w\f3\-s <directory> \fP
 409 .if \n(80<\n(38 .nr 80 \n(38
 410 .nr 38 \w\f3\-verbose \fP
 411 .if \n(80<\n(38 .nr 80 \n(38
 412 .nr 38 \w\f3\-version \fP
 413 .if \n(80<\n(38 .nr 80 \n(38
 414 .nr 38 \w\f3\-target \fP
 415 .if \n(80<\n(38 .nr 80 \n(38
 416 .nr 38 \w\f3\-quiet \fP
 417 .if \n(80<\n(38 .nr 80 \n(38
 418 .80
 419 .rm 80
 420 .nr 38 \n(g-
 421 .if \n(80<\n(38 .nr 80 \n(38
 422 .nr 38 \n(n-
 423 .if \n(80<\n(38 .nr 80 \n(38
 424 .nr 81 0
 425 .nr 38 \w\f3説明\fP
 426 .if \n(81<\n(38 .nr 81 \n(38
 427 .81
 428 .rm 81
 429 .nr 38 \n(a-
 430 .if \n(81<\n(38 .nr 81 \n(38
 431 .nr 38 \n(b-
 432 .if \n(81<\n(38 .nr 81 \n(38
 433 .nr 38 \n(c-
 434 .if \n(81<\n(38 .nr 81 \n(38
 435 .nr 38 \n(d-
 436 .if \n(81<\n(38 .nr 81 \n(38
 437 .nr 38 \n(e-
 438 .if \n(81<\n(38 .nr 81 \n(38
 439 .nr 38 \n(f-
 440 .if \n(81<\n(38 .nr 81 \n(38
 441 .nr 38 \n(h-
 442 .if \n(81<\n(38 .nr 81 \n(38
 443 .nr 38 \n(i-
 444 .if \n(81<\n(38 .nr 81 \n(38
 445 .nr 38 \n(j-
 446 .if \n(81<\n(38 .nr 81 \n(38
 447 .nr 38 \n(k-
 448 .if \n(81<\n(38 .nr 81 \n(38
 449 .nr 38 \n(l-
 450 .if \n(81<\n(38 .nr 81 \n(38
 451 .nr 38 \n(m-
 452 .if \n(81<\n(38 .nr 81 \n(38
 453 .nr 38 \n(o-
 454 .if \n(81<\n(38 .nr 81 \n(38
 455 .nr 38 \n(p-
 456 .if \n(81<\n(38 .nr 81 \n(38
 457 .nr 38 \n(q-
 458 .if \n(81<\n(38 .nr 81 \n(38
 459 .35
 460 .nf
 461 .ll \n(34u
 462 .nr 38 1n
 463 .nr 79 0
 464 .nr 40 \n(79+(0*\n(38)
 465 .nr 80 +\n(40
 466 .nr 41 \n(80+(3*\n(38)
 467 .nr 81 +\n(41
 468 .nr TW \n(81
 469 .if t .if \n(TW>\n(.li .tm Table at line 163 file Input is too wide - \n(TW units
 470 .fc  
 471 .nr #T 0-1
 472 .nr #a 0-1
 473 .eo
 474 .de T#
 475 .ds #d .d
 476 .if \(ts\n(.z\(ts\(ts .ds #d nl
 477 .mk ##
 478 .nr ## -1v
 479 .ls 1
 480 .ls
 481 ..
 482 .ec
 483 .ta \n(80u \n(81u 
 484 .nr 31 \n(.f
 485 .nr 35 1m
 486 \&\h'|\n(40u'\f3オプション\fP\h'|\n(41u'\f3説明\fP
 487 .ne \n(a|u+\n(.Vu
 488 .if (\n(a|+\n(#^-1v)>\n(#- .nr #- +(\n(a|+\n(#^-\n(#--1v)
 489 .ta \n(80u \n(81u 
 490 .nr 31 \n(.f
 491 .nr 35 1m
 492 \&\h'|\n(40u'\f3\-d <directory> \fP\h'|\n(41u'
 493 .mk ##
 494 .nr 31 \n(##
 495 .sp |\n(##u-1v
 496 .nr 37 \n(41u
 497 .in +\n(37u
 498 .a+
 499 .in -\n(37u
 500 .mk 32
 501 .if \n(32>\n(31 .nr 31 \n(32
 502 .sp |\n(31u
 503 .ne \n(b|u+\n(.Vu
 504 .if (\n(b|+\n(#^-1v)>\n(#- .nr #- +(\n(b|+\n(#^-\n(#--1v)
 505 .ta \n(80u \n(81u 
 506 .nr 31 \n(.f
 507 .nr 35 1m
 508 \&\h'|\n(40u'\f3\-b <path> \fP\h'|\n(41u'
 509 .mk ##
 510 .nr 31 \n(##
 511 .sp |\n(##u-1v
 512 .nr 37 \n(41u
 513 .in +\n(37u
 514 .b+
 515 .in -\n(37u
 516 .mk 32
 517 .if \n(32>\n(31 .nr 31 \n(32
 518 .sp |\n(31u
 519 .ne \n(c|u+\n(.Vu
 520 .if (\n(c|+\n(#^-1v)>\n(#- .nr #- +(\n(c|+\n(#^-\n(#--1v)
 521 .ta \n(80u \n(81u 
 522 .nr 31 \n(.f
 523 .nr 35 1m
 524 \&\h'|\n(40u'\f3\-B <jaxbOption>\fP\h'|\n(41u'
 525 .mk ##
 526 .nr 31 \n(##
 527 .sp |\n(##u-1v
 528 .nr 37 \n(41u
 529 .in +\n(37u
 530 .c+
 531 .in -\n(37u
 532 .mk 32
 533 .if \n(32>\n(31 .nr 31 \n(32
 534 .sp |\n(31u
 535 .ne \n(d|u+\n(.Vu
 536 .if (\n(d|+\n(#^-1v)>\n(#- .nr #- +(\n(d|+\n(#^-\n(#--1v)
 537 .ta \n(80u \n(81u 
 538 .nr 31 \n(.f
 539 .nr 35 1m
 540 \&\h'|\n(40u'\f3\-catalog\fP\h'|\n(41u'
 541 .mk ##
 542 .nr 31 \n(##
 543 .sp |\n(##u-1v
 544 .nr 37 \n(41u
 545 .in +\n(37u
 546 .d+
 547 .in -\n(37u
 548 .mk 32
 549 .if \n(32>\n(31 .nr 31 \n(32
 550 .sp |\n(31u
 551 .ne \n(e|u+\n(.Vu
 552 .if (\n(e|+\n(#^-1v)>\n(#- .nr #- +(\n(e|+\n(#^-\n(#--1v)
 553 .ta \n(80u \n(81u 
 554 .nr 31 \n(.f
 555 .nr 35 1m
 556 \&\h'|\n(40u'\f3\-extension \fP\h'|\n(41u'
 557 .mk ##
 558 .nr 31 \n(##
 559 .sp |\n(##u-1v
 560 .nr 37 \n(41u
 561 .in +\n(37u
 562 .e+
 563 .in -\n(37u
 564 .mk 32
 565 .if \n(32>\n(31 .nr 31 \n(32
 566 .sp |\n(31u
 567 .ne \n(f|u+\n(.Vu
 568 .if (\n(f|+\n(#^-1v)>\n(#- .nr #- +(\n(f|+\n(#^-\n(#--1v)
 569 .ta \n(80u \n(81u 
 570 .nr 31 \n(.f
 571 .nr 35 1m
 572 \&\h'|\n(40u'\f3\-help \fP\h'|\n(41u'
 573 .mk ##
 574 .nr 31 \n(##
 575 .sp |\n(##u-1v
 576 .nr 37 \n(41u
 577 .in +\n(37u
 578 .f+
 579 .in -\n(37u
 580 .mk 32
 581 .if \n(32>\n(31 .nr 31 \n(32
 582 .sp |\n(31u
 583 .ne \n(g|u+\n(.Vu
 584 .ne \n(h|u+\n(.Vu
 585 .if (\n(g|+\n(#^-1v)>\n(#- .nr #- +(\n(g|+\n(#^-\n(#--1v)
 586 .if (\n(h|+\n(#^-1v)>\n(#- .nr #- +(\n(h|+\n(#^-\n(#--1v)
 587 .ta \n(80u \n(81u 
 588 .nr 31 \n(.f
 589 .nr 35 1m
 590 \&\h'|\n(40u'\h'|\n(41u'
 591 .mk ##
 592 .nr 31 \n(##
 593 .sp |\n(##u-1v
 594 .nr 37 \n(40u
 595 .in +\n(37u
 596 .g+
 597 .in -\n(37u
 598 .mk 32
 599 .if \n(32>\n(31 .nr 31 \n(32
 600 .sp |\n(##u-1v
 601 .nr 37 \n(41u
 602 .in +\n(37u
 603 .h+
 604 .in -\n(37u
 605 .mk 32
 606 .if \n(32>\n(31 .nr 31 \n(32
 607 .sp |\n(31u
 608 .ne \n(i|u+\n(.Vu
 609 .if (\n(i|+\n(#^-1v)>\n(#- .nr #- +(\n(i|+\n(#^-\n(#--1v)
 610 .ta \n(80u \n(81u 
 611 .nr 31 \n(.f
 612 .nr 35 1m
 613 \&\h'|\n(40u'\f3\-keep \fP\h'|\n(41u'
 614 .mk ##
 615 .nr 31 \n(##
 616 .sp |\n(##u-1v
 617 .nr 37 \n(41u
 618 .in +\n(37u
 619 .i+
 620 .in -\n(37u
 621 .mk 32
 622 .if \n(32>\n(31 .nr 31 \n(32
 623 .sp |\n(31u
 624 .ne \n(j|u+\n(.Vu
 625 .if (\n(j|+\n(#^-1v)>\n(#- .nr #- +(\n(j|+\n(#^-\n(#--1v)
 626 .ta \n(80u \n(81u 
 627 .nr 31 \n(.f
 628 .nr 35 1m
 629 \&\h'|\n(40u'\f3\-p \fP\h'|\n(41u'
 630 .mk ##
 631 .nr 31 \n(##
 632 .sp |\n(##u-1v
 633 .nr 37 \n(41u
 634 .in +\n(37u
 635 .j+
 636 .in -\n(37u
 637 .mk 32
 638 .if \n(32>\n(31 .nr 31 \n(32
 639 .sp |\n(31u
 640 .ne \n(k|u+\n(.Vu
 641 .if (\n(k|+\n(#^-1v)>\n(#- .nr #- +(\n(k|+\n(#^-\n(#--1v)
 642 .ta \n(80u \n(81u 
 643 .nr 31 \n(.f
 644 .nr 35 1m
 645 \&\h'|\n(40u'\f3\-s <directory> \fP\h'|\n(41u'
 646 .mk ##
 647 .nr 31 \n(##
 648 .sp |\n(##u-1v
 649 .nr 37 \n(41u
 650 .in +\n(37u
 651 .k+
 652 .in -\n(37u
 653 .mk 32
 654 .if \n(32>\n(31 .nr 31 \n(32
 655 .sp |\n(31u
 656 .ne \n(l|u+\n(.Vu
 657 .if (\n(l|+\n(#^-1v)>\n(#- .nr #- +(\n(l|+\n(#^-\n(#--1v)
 658 .ta \n(80u \n(81u 
 659 .nr 31 \n(.f
 660 .nr 35 1m
 661 \&\h'|\n(40u'\f3\-verbose \fP\h'|\n(41u'
 662 .mk ##
 663 .nr 31 \n(##
 664 .sp |\n(##u-1v
 665 .nr 37 \n(41u
 666 .in +\n(37u
 667 .l+
 668 .in -\n(37u
 669 .mk 32
 670 .if \n(32>\n(31 .nr 31 \n(32
 671 .sp |\n(31u
 672 .ne \n(m|u+\n(.Vu
 673 .if (\n(m|+\n(#^-1v)>\n(#- .nr #- +(\n(m|+\n(#^-\n(#--1v)
 674 .ta \n(80u \n(81u 
 675 .nr 31 \n(.f
 676 .nr 35 1m
 677 \&\h'|\n(40u'\f3\-version \fP\h'|\n(41u'
 678 .mk ##
 679 .nr 31 \n(##
 680 .sp |\n(##u-1v
 681 .nr 37 \n(41u
 682 .in +\n(37u
 683 .m+
 684 .in -\n(37u
 685 .mk 32
 686 .if \n(32>\n(31 .nr 31 \n(32
 687 .sp |\n(31u
 688 .ne \n(n|u+\n(.Vu
 689 .ne \n(o|u+\n(.Vu
 690 .if (\n(n|+\n(#^-1v)>\n(#- .nr #- +(\n(n|+\n(#^-\n(#--1v)
 691 .if (\n(o|+\n(#^-1v)>\n(#- .nr #- +(\n(o|+\n(#^-\n(#--1v)
 692 .ta \n(80u \n(81u 
 693 .nr 31 \n(.f
 694 .nr 35 1m
 695 \&\h'|\n(40u'\h'|\n(41u'
 696 .mk ##
 697 .nr 31 \n(##
 698 .sp |\n(##u-1v
 699 .nr 37 \n(40u
 700 .in +\n(37u
 701 .n+
 702 .in -\n(37u
 703 .mk 32
 704 .if \n(32>\n(31 .nr 31 \n(32
 705 .sp |\n(##u-1v
 706 .nr 37 \n(41u
 707 .in +\n(37u
 708 .o+
 709 .in -\n(37u
 710 .mk 32
 711 .if \n(32>\n(31 .nr 31 \n(32
 712 .sp |\n(31u
 713 .ne \n(p|u+\n(.Vu
 714 .if (\n(p|+\n(#^-1v)>\n(#- .nr #- +(\n(p|+\n(#^-\n(#--1v)
 715 .ta \n(80u \n(81u 
 716 .nr 31 \n(.f
 717 .nr 35 1m
 718 \&\h'|\n(40u'\f3\-target \fP\h'|\n(41u'
 719 .mk ##
 720 .nr 31 \n(##
 721 .sp |\n(##u-1v
 722 .nr 37 \n(41u
 723 .in +\n(37u
 724 .p+
 725 .in -\n(37u
 726 .mk 32
 727 .if \n(32>\n(31 .nr 31 \n(32
 728 .sp |\n(31u
 729 .ne \n(q|u+\n(.Vu
 730 .if (\n(q|+\n(#^-1v)>\n(#- .nr #- +(\n(q|+\n(#^-\n(#--1v)
 731 .ta \n(80u \n(81u 
 732 .nr 31 \n(.f
 733 .nr 35 1m
 734 \&\h'|\n(40u'\f3\-quiet \fP\h'|\n(41u'
 735 .mk ##
 736 .nr 31 \n(##
 737 .sp |\n(##u-1v
 738 .nr 37 \n(41u
 739 .in +\n(37u
 740 .q+
 741 .in -\n(37u
 742 .mk 32
 743 .if \n(32>\n(31 .nr 31 \n(32
 744 .sp |\n(31u
 745 .fc
 746 .nr T. 1
 747 .T# 1
 748 .35
 749 .rm a+
 750 .rm b+
 751 .rm c+
 752 .rm d+
 753 .rm e+
 754 .rm f+
 755 .rm g+
 756 .rm h+
 757 .rm i+
 758 .rm j+
 759 .rm k+
 760 .rm l+
 761 .rm m+
 762 .rm n+
 763 .rm o+
 764 .rm p+
 765 .rm q+
 766 .TE
 767 .if \n-(b.=0 .nr c. \n(.c-\n(d.-72
 768 .LP
 769 \-b オプションを使って、複数の JAX\-WS および JAXB バインディングファイル \f2を指定できます。\fP これらのファイルを使って、パッケージ名や Bean 名など、さまざまなカスタマイズが可能です。JAX\-WS および JAXB バインディングファイルの詳細は、 
 770 .na
 771 \f2カスタマイズマニュアル\fP @
 772 .fi
 773 https://jax\-ws.dev.java.net/nonav/2.1.1/docs/customizations.htmlを参照してください。
 774 .LP
 775 次の表に、 \f2wsimport\fP の非標準オプションを示します。
 776 .LP
 777 .TS
 778 .if \n+(b.=1 .nr d. \n(.c-\n(c.-1
 779 .de 35
 780 .ps \n(.s
 781 .vs \n(.vu
 782 .in \n(.iu
 783 .if \n(.u .fi
 784 .if \n(.j .ad
 785 .if \n(.j=0 .na
 786 ..
 787 .nf
 788 .nr #~ 0
 789 .if n .nr #~ 0.6n
 790 .ds #d .d
 791 .if \(ts\n(.z\(ts\(ts .ds #d nl
 792 .fc
 793 .nr 33 \n(.s
 794 .rm 80 81
 795 .nr 34 \n(.lu
 796 .eo
 797 .am 81
 798 .br
 799 .di a+
 800 .35
 801 .ft \n(.f
 802 .ll \n(34u*1u/3u
 803 .if \n(.l<\n(81 .ll \n(81u
 804 .in 0
 805 要求または応答メッセージにバインドされないヘッダーを Java メソッドのパラメータにマップします
 806 .br
 807 .di
 808 .nr a| \n(dn
 809 .nr a- \n(dl
 810 ..
 811 .ec \
 812 .eo
 813 .am 81
 814 .br
 815 .di b+
 816 .35
 817 .ft \n(.f
 818 .ll \n(34u*1u/3u
 819 .if \n(.l<\n(81 .ll \n(81u
 820 .in 0
 821 認証情報を含むファイルを指定する WSDL URI です。この URI の形式は次のとおりです http://\f2<ユーザー名>\fP:\f2<パスワード>\fP@\f2<ホスト名>\fP/\f2<Web サービス名>\fP?wsdl
 822 .br
 823 .di
 824 .nr b| \n(dn
 825 .nr b- \n(dl
 826 ..
 827 .ec \
 828 .eo
 829 .am 81
 830 .br
 831 .di c+
 832 .35
 833 .ft \n(.f
 834 .ll \n(34u*1u/3u
 835 .if \n(.l<\n(81 .ll \n(81u
 836 .in 0
 837 デバッグ情報を出力します
 838 .br
 839 .di
 840 .nr c| \n(dn
 841 .nr c- \n(dl
 842 ..
 843 .ec \
 844 .eo
 845 .am 80
 846 .br
 847 .di d+
 848 .35
 849 .ft \n(.f
 850 .ll \n(34u*1u/3u
 851 .if \n(.l<\n(80 .ll \n(80u
 852 .in 0
 853 \f3\-Xno\-addressing\-databinding\fP
 854 .br
 855 .di
 856 .nr d| \n(dn
 857 .nr d- \n(dl
 858 ..
 859 .ec \
 860 .eo
 861 .am 81
 862 .br
 863 .di e+
 864 .35
 865 .ft \n(.f
 866 .ll \n(34u*1u/3u
 867 .if \n(.l<\n(81 .ll \n(81u
 868 .in 0
 869 W3C \f2EndpointReferenceType\fP と Java のバインディングを有効にします
 870 .br
 871 .di
 872 .nr e| \n(dn
 873 .nr e- \n(dl
 874 ..
 875 .ec \
 876 .eo
 877 .am 81
 878 .br
 879 .di f+
 880 .35
 881 .ft \n(.f
 882 .ll \n(34u*1u/3u
 883 .if \n(.l<\n(81 .ll \n(81u
 884 .in 0
 885 生成された Java ファイルをコンパイルしません
 886 .br
 887 .di
 888 .nr f| \n(dn
 889 .nr f- \n(dl
 890 ..
 891 .ec \
 892 .35
 893 .nf
 894 .ll \n(34u
 895 .nr 80 0
 896 .nr 38 \w\f3オプション\fP
 897 .if \n(80<\n(38 .nr 80 \n(38
 898 .nr 38 \w\f3\-XadditionalHeaders\fP
 899 .if \n(80<\n(38 .nr 80 \n(38
 900 .nr 38 \w\f3\-Xauthfile <file>\fP
 901 .if \n(80<\n(38 .nr 80 \n(38
 902 .nr 38 \w\f3\-Xdebug\fP
 903 .if \n(80<\n(38 .nr 80 \n(38
 904 .nr 38 \w\f3\-Xnocompile\fP
 905 .if \n(80<\n(38 .nr 80 \n(38
 906 .80
 907 .rm 80
 908 .nr 38 \n(d-
 909 .if \n(80<\n(38 .nr 80 \n(38
 910 .nr 81 0
 911 .nr 38 \w\f3説明\fP
 912 .if \n(81<\n(38 .nr 81 \n(38
 913 .81
 914 .rm 81
 915 .nr 38 \n(a-
 916 .if \n(81<\n(38 .nr 81 \n(38
 917 .nr 38 \n(b-
 918 .if \n(81<\n(38 .nr 81 \n(38
 919 .nr 38 \n(c-
 920 .if \n(81<\n(38 .nr 81 \n(38
 921 .nr 38 \n(e-
 922 .if \n(81<\n(38 .nr 81 \n(38
 923 .nr 38 \n(f-
 924 .if \n(81<\n(38 .nr 81 \n(38
 925 .35
 926 .nf
 927 .ll \n(34u
 928 .nr 38 1n
 929 .nr 79 0
 930 .nr 40 \n(79+(0*\n(38)
 931 .nr 80 +\n(40
 932 .nr 41 \n(80+(3*\n(38)
 933 .nr 81 +\n(41
 934 .nr TW \n(81
 935 .if t .if \n(TW>\n(.li .tm Table at line 199 file Input is too wide - \n(TW units
 936 .fc  
 937 .nr #T 0-1
 938 .nr #a 0-1
 939 .eo
 940 .de T#
 941 .ds #d .d
 942 .if \(ts\n(.z\(ts\(ts .ds #d nl
 943 .mk ##
 944 .nr ## -1v
 945 .ls 1
 946 .ls
 947 ..
 948 .ec
 949 .ta \n(80u \n(81u 
 950 .nr 31 \n(.f
 951 .nr 35 1m
 952 \&\h'|\n(40u'\f3オプション\fP\h'|\n(41u'\f3説明\fP
 953 .ne \n(a|u+\n(.Vu
 954 .if (\n(a|+\n(#^-1v)>\n(#- .nr #- +(\n(a|+\n(#^-\n(#--1v)
 955 .ta \n(80u \n(81u 
 956 .nr 31 \n(.f
 957 .nr 35 1m
 958 \&\h'|\n(40u'\f3\-XadditionalHeaders\fP\h'|\n(41u'
 959 .mk ##
 960 .nr 31 \n(##
 961 .sp |\n(##u-1v
 962 .nr 37 \n(41u
 963 .in +\n(37u
 964 .a+
 965 .in -\n(37u
 966 .mk 32
 967 .if \n(32>\n(31 .nr 31 \n(32
 968 .sp |\n(31u
 969 .ne \n(b|u+\n(.Vu
 970 .if (\n(b|+\n(#^-1v)>\n(#- .nr #- +(\n(b|+\n(#^-\n(#--1v)
 971 .ta \n(80u \n(81u 
 972 .nr 31 \n(.f
 973 .nr 35 1m
 974 \&\h'|\n(40u'\f3\-Xauthfile <file>\fP\h'|\n(41u'
 975 .mk ##
 976 .nr 31 \n(##
 977 .sp |\n(##u-1v
 978 .nr 37 \n(41u
 979 .in +\n(37u
 980 .b+
 981 .in -\n(37u
 982 .mk 32
 983 .if \n(32>\n(31 .nr 31 \n(32
 984 .sp |\n(31u
 985 .ne \n(c|u+\n(.Vu
 986 .if (\n(c|+\n(#^-1v)>\n(#- .nr #- +(\n(c|+\n(#^-\n(#--1v)
 987 .ta \n(80u \n(81u 
 988 .nr 31 \n(.f
 989 .nr 35 1m
 990 \&\h'|\n(40u'\f3\-Xdebug\fP\h'|\n(41u'
 991 .mk ##
 992 .nr 31 \n(##
 993 .sp |\n(##u-1v
 994 .nr 37 \n(41u
 995 .in +\n(37u
 996 .c+
 997 .in -\n(37u
 998 .mk 32
 999 .if \n(32>\n(31 .nr 31 \n(32
1000 .sp |\n(31u
1001 .ne \n(d|u+\n(.Vu
1002 .ne \n(e|u+\n(.Vu
1003 .if (\n(d|+\n(#^-1v)>\n(#- .nr #- +(\n(d|+\n(#^-\n(#--1v)
1004 .if (\n(e|+\n(#^-1v)>\n(#- .nr #- +(\n(e|+\n(#^-\n(#--1v)
1005 .ta \n(80u \n(81u 
1006 .nr 31 \n(.f
1007 .nr 35 1m
1008 \&\h'|\n(40u'\h'|\n(41u'
1009 .mk ##
1010 .nr 31 \n(##
1011 .sp |\n(##u-1v
1012 .nr 37 \n(40u
1013 .in +\n(37u
1014 .d+
1015 .in -\n(37u
1016 .mk 32
1017 .if \n(32>\n(31 .nr 31 \n(32
1018 .sp |\n(##u-1v
1019 .nr 37 \n(41u
1020 .in +\n(37u
1021 .e+
1022 .in -\n(37u
1023 .mk 32
1024 .if \n(32>\n(31 .nr 31 \n(32
1025 .sp |\n(31u
1026 .ne \n(f|u+\n(.Vu
1027 .if (\n(f|+\n(#^-1v)>\n(#- .nr #- +(\n(f|+\n(#^-\n(#--1v)
1028 .ta \n(80u \n(81u 
1029 .nr 31 \n(.f
1030 .nr 35 1m
1031 \&\h'|\n(40u'\f3\-Xnocompile\fP\h'|\n(41u'
1032 .mk ##
1033 .nr 31 \n(##
1034 .sp |\n(##u-1v
1035 .nr 37 \n(41u
1036 .in +\n(37u
1037 .f+
1038 .in -\n(37u
1039 .mk 32
1040 .if \n(32>\n(31 .nr 31 \n(32
1041 .sp |\n(31u
1042 .fc
1043 .nr T. 1
1044 .T# 1
1045 .35
1046 .rm a+
1047 .rm b+
1048 .rm c+
1049 .rm d+
1050 .rm e+
1051 .rm f+
1052 .TE
1053 .if \n-(b.=0 .nr c. \n(.c-\n(d.-26
1054 
1055 .LP
1056 .SH "例"
1057 .nf
1058 \f3
1059 .fl
1060 \fP\f3wsimport \-p stockquote http://stockquote.example.com/quote?wsdl\fP
1061 .fl
1062 .fi
1063 .LP
1064 Java アーティファクトを生成し、 \f2http://stockquote.example.com/quote?wsdl\fP をインポートしてその Java アーティファクトをコンパイルします
1065 .br
1066